祖冲之算法硬件实现与研究
DOI:
作者:
作者单位:

作者简介:

通讯作者:

中图分类号:

TP 309.7 TN7

基金项目:


ZUC hardware implementation research
Author:
Affiliation:

Fund Project:

  • 摘要
  • |
  • 图/表
  • |
  • 访问统计
  • |
  • 参考文献
  • |
  • 相似文献
  • |
  • 引证文献
  • |
  • 资源附件
  • |
  • 文章评论
    摘要:

    祖冲之(ZUC)算法是我国自主研制,纳入新一代宽带无线移动通信系统的国际加密标准,考虑现阶段祖冲之流密码算法的实现多为软件,效率和速度还有待提高。为适应大数据时代对高速数据实时加密的需求以及进一步推广ZUC算法的使用,结合ZUC算法特性,利用硬件描述语言VHDL对其进行高效的FPGA硬件设计,并使用Xilinx公司ISE软件进行综合仿真验证设计正确性,最后将模块封装成IP软核。结合Xilinx公司的ARM与FPGA联合平台设计相应的接口软件进行实际测试,性能分析和资源评估,为ZUC算法提供了一种高效硬件设计参考。最后给出ZUC模块在实际加密视频数据的应用,与纯软件实现相同功能相比,系统性能提升了3倍以上,可以满足实时视频数据的加密。

    Abstract:

    ZUC algorithm is developed in China by the Chinese academy of sciences and other units, in new generation broadband wireless mobile communication system international encryption standard. And at present, the algorithm is realized by software, and the efficiency and speed of the ZUC stream cipher algorithm needs to be improved. In order to adapt to the era of big data demand for highspeed realtime data encryption, and further promote the use of ZUC algorithm, considering about the feature of ZUC algorithm for efficient,we use hardware description language VHDL design FPGA hardware and integrated simulation using Xilinx ISE software company design is correct. Finally, it will be encapsulated into the IP soft core module. According to the design of ARM and FPGA platform for Xilinx company corresponding interface software for actual testing, performance analysis and resources assessment, for ZUC algorithm provides a highly efficient hardware design reference. Finally, we use the ZUC module in video data encryption. Compared with the pure software to realize the same function, the system performance improved nearly 3 times. It can satisfy the realtime video data encryption.

    参考文献
    相似文献
    引证文献
引用本文

周 威 王 博 潘伟涛.祖冲之算法硬件实现与研究[J].国外电子测量技术,2015,34(7):66-71

复制
分享
文章指标
  • 点击次数:
  • 下载次数:
  • HTML阅读次数:
  • 引用次数:
历史
  • 收稿日期:
  • 最后修改日期:
  • 录用日期:
  • 在线发布日期: 2015-11-06
  • 出版日期: